// halfadder.v T. Manikas 12-5-11 // add bits a and b to produce sum s and carry c module halfadder(s,c,a,b); input a,b; output s,c; and G1(c,a,b); xor G2(s,a,b); endmodule